From b956734b51a178ba083848672b006aa325217381 Mon Sep 17 00:00:00 2001 From: Michele Calgaro Date: Sun, 3 Mar 2019 21:48:37 +0900 Subject: Update Kate syntax highlight files from http://kate-editor.org/syntax/2.5/ sources. Signed-off-by: Michele Calgaro --- kate/data/systemverilog.xml | 1101 +++++++++++++++++++++---------------------- 1 file changed, 539 insertions(+), 562 deletions(-) (limited to 'kate/data/systemverilog.xml') diff --git a/kate/data/systemverilog.xml b/kate/data/systemverilog.xml index 26c84db0b..b0e78aa1f 100644 --- a/kate/data/systemverilog.xml +++ b/kate/data/systemverilog.xml @@ -39,586 +39,586 @@ - + - defparam - deassign + defparam + deassign - DPI - DPI-C - import - export - context + DPI + DPI-C + import + export + context - assert - assume - cover - expect - disable - iff - binsof - intersect - first_match - throughout - within + assert + assume + cover + expect + disable + iff + binsof + intersect + first_match + throughout + within - coverpoint - cross - wildcard - bins - ignore_bins - illegal_bins + coverpoint + cross + wildcard + bins + ignore_bins + illegal_bins - genvar + genvar - if - else - unique - priority - matches + if + else + unique + priority + matches - default + default - forever - repeat - while - for - do - foreach - break - continue - return + forever + repeat + while + for + do + foreach + break + continue + return - pulsestyle_onevent - pulsestyle_ondetect - noshowcancelled - showcancelled - ifnone + pulsestyle_onevent + pulsestyle_ondetect + noshowcancelled + showcancelled + ifnone - initial - final - always - always_comb - always_ff - always_latch + initial + final + always + always_comb + always_ff + always_latch - alias - assign - force - release + alias + assign + force + release - posedge - negedge - edge - wait - wait_order + posedge + negedge + edge + wait + wait_order - timeunit - timeprecision - s - ms - ns - us - ns - ps - fs - step + timeunit + timeprecision + s + ms + ns + us + ns + ps + fs + step - new - extends - this - super - protected - local - rand - randc - bind + new + extends + this + super + protected + local + rand + randc + bind - constraint - solve - before - dist - inside - with + constraint + solve + before + dist + inside + with - virtual - pure - extern - forkjoin + virtual + pure + extern + forkjoin - design - instance - cell - liblist - use + design + instance + cell + liblist + use - library - incdir - include + library + incdir + include - modport + modport - sync_accept_on - reject_on - accept_on - sync_reject_on - restrict - let - until - until_with - unique0 - eventually - s_until - s_always - s_eventually - s_nexttime - s_until_with - global - untyped - implies - weak - strong - nexttime + sync_accept_on + reject_on + accept_on + sync_reject_on + restrict + let + until + until_with + unique0 + eventually + s_until + s_always + s_eventually + s_nexttime + s_until_with + global + untyped + implies + weak + strong + nexttime - parameter - localparam - specparam + parameter + localparam + specparam - input - output - inout - ref + input + output + inout + ref - byte - shortint - int - integer - longint - time + byte + shortint + int + integer + longint + time - bit - logic - reg + bit + logic + reg - supply0 - supply1 - tri - triand - trior - trireg - tri0 - tri1 - wire - uwire - wand - wor + supply0 + supply1 + tri + triand + trior + trireg + tri0 + tri1 + wire + uwire + wand + wor - signed - unsigned + signed + unsigned - shortreal - real - realtime + shortreal + real + realtime - type - void + type + void - struct - union - tagged + struct + union + tagged - const - var - automatic - static - packed - vectored - scalared + const + var + automatic + static + packed + vectored + scalared - typedef - enum - string - chandle - event + typedef + enum + string + chandle + event - null + null - `__FILE__ - `__LINE__ - `begin_keywords - `celldefine - `default_nettype - `define - `else - `elsif - `end_keywords - `endcelldefine - `endif - `ifdef - `ifndef - `include - `line - `nounconnected_drive - `pragma - `resetall - `timescale - `unconnected_drive - `undef - `undefineall + `__FILE__ + `__LINE__ + `begin_keywords + `celldefine + `default_nettype + `define + `else + `elsif + `end_keywords + `endcelldefine + `endif + `ifdef + `ifndef + `include + `line + `nounconnected_drive + `pragma + `resetall + `timescale + `unconnected_drive + `undef + `undefineall - $finish - $stop - $exit - $realtime - $stime - $time - $printtimescale - $timeformat - $bitstoreal - $realtobits - $bitstoshortreal - $shortrealtobits - $itor - $rtoi - $signed - $unsigned - $cast - $bits - $isunbounded - $typename - $unpacked_dimensions - $dimensions - $left - $right - $low - $high - $increment - $size - $clog2 - $asin - $ln - $acos - $log10 - $atan - $exp - $atan2 - $sqrt - $hypot - $pow - $sinh - $floor - $cosh - $ceil - $tanh - $sin - $asinh - $cos - $acosh - $tan - $atanh - $fatal - $error - $warning - $info - $fatal - $error - $warning - $info - $asserton - $assertoff - $assertkill - $assertpasson - $assertpassoff - $assertfailon - $assertfailoff - $assertnonvacuouson - $assertvacuousoff - $onehot - $onehot0 - $isunknown - $sampled - $rose - $fell - $stable - $changed - $past - $countones - $past_gclk - $rose_gclk - $fell_gclk - $stable_gclk - $changed_gclk - $future_gclk - $rising_gclk - $falling_gclk - $steady_gclk - $changing_gclk - $coverage_control - $coverage_get_max - $coverage_get - $coverage_merge - $coverage_save - $get_coverage - $set_coverage_db_name - $load_coverage_db - $random - $dist_chi_square - $dist_erlang - $dist_exponential - $dist_normal - $dist_poisson - $dist_t - $dist_uniform - $q_initialize - $q_add - $q_remove - $q_full - $q_exam - $async$and$array - $async$and$plane - $async$nand$array - $async$nand$plane - $async$or$array - $async$or$plane - $async$nor$array - $async$nor$plane - $sync$and$array - $sync$and$plane - $sync$nand$array - $sync$nand$plane - $sync$or$array - $sync$or$plane - $sync$nor$array - $sync$nor$plane - $system - $display - $write - $displayb - $writeb - $displayh - $writeh - $displayo - $writeo - $strobe - $monitor - $strobeb - $monitorb - $strobeh - $monitorh - $strobeo - $monitoro - $monitoroff - $monitoron - $fclose - $fopen - $fdisplay - $fwrite - $fdisplayb - $fwriteb - $fdisplayh - $fwriteh - $fdisplayo - $fwriteo - $fstrobe - $fmonitor - $fstrobeb - $fmonitorb - $fstrobeh - $fmonitorh - $fstrobeo - $fmonitoro - $swrite - $sformat - $swriteb - $sformatf - $swriteh - $fgetc - $swriteo - $ungetc - $fscanf - $fgets - $fread - $sscanf - $fseek - $rewind - $fflush - $ftell - $feof - $ferror - $readmemb - $readmemh - $writememb - $writememh - $test$plusargs - $value$plusargs - $dumpfile - $dumpvars - $dumpoff - $dumpon - $dumpall - $dumplimit - $dumpflush - $dumpports - $dumpportsoff - $dumpportson - $dumpportsall - $dumpportslimit - $dumpportsflush + $finish + $stop + $exit + $realtime + $stime + $time + $printtimescale + $timeformat + $bitstoreal + $realtobits + $bitstoshortreal + $shortrealtobits + $itor + $rtoi + $signed + $unsigned + $cast + $bits + $isunbounded + $typename + $unpacked_dimensions + $dimensions + $left + $right + $low + $high + $increment + $size + $clog2 + $asin + $ln + $acos + $log10 + $atan + $exp + $atan2 + $sqrt + $hypot + $pow + $sinh + $floor + $cosh + $ceil + $tanh + $sin + $asinh + $cos + $acosh + $tan + $atanh + $fatal + $error + $warning + $info + $fatal + $error + $warning + $info + $asserton + $assertoff + $assertkill + $assertpasson + $assertpassoff + $assertfailon + $assertfailoff + $assertnonvacuouson + $assertvacuousoff + $onehot + $onehot0 + $isunknown + $sampled + $rose + $fell + $stable + $changed + $past + $countones + $past_gclk + $rose_gclk + $fell_gclk + $stable_gclk + $changed_gclk + $future_gclk + $rising_gclk + $falling_gclk + $steady_gclk + $changing_gclk + $coverage_control + $coverage_get_max + $coverage_get + $coverage_merge + $coverage_save + $get_coverage + $set_coverage_db_name + $load_coverage_db + $random + $dist_chi_square + $dist_erlang + $dist_exponential + $dist_normal + $dist_poisson + $dist_t + $dist_uniform + $q_initialize + $q_add + $q_remove + $q_full + $q_exam + $async$and$array + $async$and$plane + $async$nand$array + $async$nand$plane + $async$or$array + $async$or$plane + $async$nor$array + $async$nor$plane + $sync$and$array + $sync$and$plane + $sync$nand$array + $sync$nand$plane + $sync$or$array + $sync$or$plane + $sync$nor$array + $sync$nor$plane + $system + $display + $write + $displayb + $writeb + $displayh + $writeh + $displayo + $writeo + $strobe + $monitor + $strobeb + $monitorb + $strobeh + $monitorh + $strobeo + $monitoro + $monitoroff + $monitoron + $fclose + $fopen + $fdisplay + $fwrite + $fdisplayb + $fwriteb + $fdisplayh + $fwriteh + $fdisplayo + $fwriteo + $fstrobe + $fmonitor + $fstrobeb + $fmonitorb + $fstrobeh + $fmonitorh + $fstrobeo + $fmonitoro + $swrite + $sformat + $swriteb + $sformatf + $swriteh + $fgetc + $swriteo + $ungetc + $fscanf + $fgets + $fread + $sscanf + $fseek + $rewind + $fflush + $ftell + $feof + $ferror + $readmemb + $readmemh + $writememb + $writememh + $test$plusargs + $value$plusargs + $dumpfile + $dumpvars + $dumpoff + $dumpon + $dumpall + $dumplimit + $dumpflush + $dumpports + $dumpportsoff + $dumpportson + $dumpportsall + $dumpportslimit + $dumpportsflush - pullup - pulldown - cmos - rcmos - nmos - pmos - rnmos - rpmos - and - nand - or - nor - xor - xnor - not - buf - tran - rtran - tranif0 - tranif1 - rtranif0 - rtranif1 - bufif0 - bufif1 - notif0 - notif1 + pullup + pulldown + cmos + rcmos + nmos + pmos + rnmos + rpmos + and + nand + or + nor + xor + xnor + not + buf + tran + rtran + tranif0 + tranif1 + rtranif0 + rtranif1 + bufif0 + bufif1 + notif0 + notif1 - strong0 - strong1 - pull0 - pull1 - weak0 - weak1 - highz0 - highz1 + strong0 + strong1 + pull0 + pull1 + weak0 + weak1 + highz0 + highz1 - small - medium - large + small + medium + large - randomize - mailbox - semaphore - put - get - try_put - try_get - peek - try_peek - process - state - self - status - kill - await - suspend - resume + randomize + mailbox + semaphore + put + get + try_put + try_get + peek + try_peek + process + state + self + status + kill + await + suspend + resume - size - delete - insert - num - first - last - next - prev - pop_front - pop_back - push_front - push_back - find - find_index - find_first - find_last - find_last_index - min - max - unique_index - reverse - sort - rsort - shuffle - sum - product + size + delete + insert + num + first + last + next + prev + pop_front + pop_back + push_front + push_back + find + find_index + find_first + find_last + find_last_index + min + max + unique_index + reverse + sort + rsort + shuffle + sum + product - List - List_Iterator - neq - eq - data - empty - front - back - start - finish - insert_range - erase - erase_range - set - swap - clear - purge + List + List_Iterator + neq + eq + data + empty + front + back + start + finish + insert_range + erase + erase_range + set + swap + clear + purge @@ -628,151 +628,151 @@ end - package + package - endpackage + endpackage - macromodule + macromodule - module + module - endmodule + endmodule - generate + generate - endgenerate + endgenerate - program + program - endprogram + endprogram - class + class - endclass + endclass - function + function - endfunction + endfunction - case + case - casex + casex - casez + casez - randcase + randcase - endcase + endcase - interface + interface - endinterface + endinterface - clocking + clocking - endclocking + endclocking - task + task - endtask + endtask - primitive + primitive - endprimitive + endprimitive - fork + fork - join + join - join_any + join_any - join_none + join_none - covergroup + covergroup - endgroup + endgroup - checker + checker - endchecker + endchecker - property + property - endproperty + endproperty - randsequence + randsequence - sequence + sequence - endsequence + endsequence - specify + specify - endspecify + endspecify - config + config - endconfig + endconfig - table + table - endtable + endtable - extern + extern - pure + pure - typedef + typedef - import + import @@ -880,7 +880,7 @@ - + @@ -888,7 +888,7 @@ - + @@ -924,29 +924,12 @@ - - - - - - - - - - - - - - - - - @@ -966,7 +949,6 @@ - @@ -994,7 +976,6 @@ - @@ -1005,15 +986,11 @@ - - - - -- cgit v1.2.1